CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 电机

搜索资源列表

  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. 基于FPGA的128细分的步进电机驱动程序

    1下载:
  2. 基于FPGA的128细分的步进电机驱动程序
  3. 所属分类:VHDL编程

    • 发布日期:2011-01-10
    • 文件大小:787587
    • 提供者:F599GTB
  1. motorcontrol(vhdl).rar

    1下载:
  2. 基于FPGA的直电机伺服系统的设计的代码,VHDL语言。包括前馈控制,AD1674控制模块,ADC0809控制模块,前馈控制模块,分频模块等。,FPGA-based servo system direct the design of the electrical code, VHDL language. Including feed-forward control, AD1674 control module, ADC0809 control module, feed-forward contr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:6456
    • 提供者:dong
  1. vhdl

    0下载:
  2. 最高优先级编码器和直流电机控制器,供初学者学习使用,方便快捷,有很强的参考价值-The highest priority encoder and DC motor controller, for beginners learning to use, convenient and has a strong reference value
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3167
    • 提供者:张楚荀
  1. moter

    1下载:
  2. VHDL写的PWM发生器,仿真通过,波形基本完美,可以用于直流电机的控制-PWM generator written in VHDL, simulation is passed, the basic waveform perfect, can be used for DC motor control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:897211
    • 提供者:dansen
  1. zhiliu_dianji

    0下载:
  2. 直流电机的VHDL源程序,经过编译和仿真.-DC motor VHDL source code, after compilation and simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:818618
    • 提供者:何情
  1. VHDL

    2下载:
  2. 已经开发成产品的步进电机定位控制系统的VHDL程序-Has developed into a product positioning stepper motor control system VHDL procedures
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:1418
    • 提供者:ww
  1. 步进电机及伺服电机的控制

    2下载:
  2. 本程序采用vhdl语言对步进电机及伺服电机进行控制,控制方式灵活,有变速,正反转,显示等多个模块-This procedure using VHDL language of stepper motor and servo motor control, control flexibility, have variable speed, positive, showing a number of modules, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:4458
    • 提供者:阿汤
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. bujindianjidingweikongzi

    0下载:
  2. 设计一个基于FPGA的四相步进电机定位控制系统。步进电机是利用数字信号控制的电机装置,步进电机每次接受到一组脉冲数字信号,便旋转一个角度,称为步进角。不同规格的步进电机的步进角不同,与电机内部的线圈数量有关。线圈中的供应电流决定线圈所产生的磁场方向-FPGA-based design of a four-phase stepper motor positioning control system. Stepper motor control using digital signal of the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:831
    • 提供者:离火
  1. PWM

    0下载:
  2. 实现PWM波的产生,可用于电机控制.可以改变其占空比及频率来实现电机的调速.-Realization of PWM wave generation, can be used for motor control. Can change its duty cycle and frequency to achieve the speed control motor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:436361
    • 提供者:宋瑞鹏
  1. EP1C3_12_1_2_MOTO

    0下载:
  2. 基于FPGA的直流电机的PWM控制和步进电机的细分驱动控制。使用VHDL语言编写,压缩包里是Quartus下的工程。-FPGA-based PWM DC motor control and stepper motor-driven control of a breakdown. The use of VHDL language, compression bag is under the Quartus project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1193917
    • 提供者:deadtomb
  1. New_Stepper_Motor_Drive_Circuit

    0下载:
  2. 本课题设计了一款用于两相混合式步进电机的驱动芯片,内部集成了PWM(脉 冲宽度调制)斩波控制和步进电机细分驱动功能,工作于36V并可持续输出1.5A 电流。PWM电流控制电路与3位非线性数模转换器相结合,可细分电机绕组上的 电流,对步进电机进行整步、半步、1/4步或1/8步的细分控制,从而实现了步 进电机不同步距角的运作。为了改善电机性能,特别是电机工作在微步距模式下 的正弦电流波形下时,芯片可提供三种不同的电流衰减模式(快衰减模式、慢衰 减模式、混合衰减模式)。同时,芯
  3. 所属分类:Project Design

    • 发布日期:2017-04-10
    • 文件大小:1684692
    • 提供者:孙文
  1. FPGA

    0下载:
  2. fpga在步进电机驱动上的应用实例及代码-fpga stepping motor drive in the application example and the code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:268489
    • 提供者:liyue
  1. ZHILIUDIANJI

    0下载:
  2. EDA直流电机项目设计,能实现加速 减速 方向控制。-EDA DC project design, to achieve directional control of accelerating and decelerating.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:43936
    • 提供者:ffx
  1. VHDL-dianti

    0下载:
  2. 高楼电梯自动控制系统(Windows平台上运行的ispLEVER编程软件。 ): 1统控制的电梯往返于1-9层楼。 2客要去的楼层数可手动输入并显示(设为A数)。 3梯运行的楼层数可自动显示(设为B数)。 4A>B时,系统能输出使三相电机正转的时序信号,使电梯上升; 当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降; 当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门; 5是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:34734
    • 提供者:
  1. FPGAmotor

    1下载:
  2. FPGA在直流电机调速中的应用,利用fpga进行PID闭环控制-tell us speed control for DC motor by FPGA,use fpga for PID circle control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:334850
    • 提供者:赵一
  1. PWM-DCMotor-Control-based-on-VHDL

    0下载:
  2. 基于VHDL的直流电机的PWM控制程序,用vhdl语言写的直流电机控制程序-PWM DC Motor Control Program based on the VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:211200
    • 提供者:
  1. pid

    0下载:
  2. 用现在可编程门阵列完成比例积分控制,可应用于电机转速的智能控制。-Programmable gate arrays now done with proportional-integral control, motor speed can be applied to intelligent control.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1053627
    • 提供者:林积分
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com